目次CPLD入門


8−3 エンコーダ



このページでは8ビットのコードを3ビットのバイナリーコードに変換するエンコーダを紹介します。
入力されるコードは8ビットのうち1ビットだけが1であるコードである必要があります。
この条件に合わない入力がインプットされるとERRORが'Hレベル'になります。

"00000001"が入力された場合"000"を出力
"00000010"が入力された場合"001"を出力
"00000100"が入力された場合"011"を出力
"00001000"が入力された場合"100"を出力


などのように8種類のバイナリーコードを出力します。
ピンの位置は指定していません。

CPLD(XC9536-PC44)を対象にしています。

ソースコード/解説

フィッティング・レポート


動作状態表
入力出力
HGFEDCBAQ2 - Q0ERROR
000000010000
000000100010
000001000100
000010000110
000100001000
001000001010
010000001100
100000001110
その他xxx1