目次CPLD入門


CPLD簡易機能試験器



CPLDの動作を確認するための簡易機能試験器を作ってみました。


上記は5進カウンターの動作確認状況です。

CPLDのように外部の入力条件により動作するデバイスの機能を確認するのは結構面倒です。
今回の試験器では入力のON/OFF条件設定、クロックパルスの入力、出力レベルの確認を行うことができます。
高輝度LEDを使用し、消費電力を抑えています。7セグメントLEDを含め、全LEDを点灯したときの電流は約70mAでした。

試験器の機能は以下のようにしました。
機能備考
DIPスイッチ12ON:Lレベル、OFF:Hレベル
矩形波発振器1Hzの矩形波
BCDスイッチONビット:Lレベル、OFFビット:開放
LED10各LED Hレベルで点灯
7セグメントLED各セグメント Lレベルで点灯


 回路図

 パターン図

 回路説明

 部品説明




CPLDライターと接続して試験している様子