目次CPLD入門


CPLDライターの使い方



このページではCPLD開発ツール( Xilinx Project Navigator ) により作成したファイル(JEDEC)をCPLDライターでデバイスに書き込む手順を紹介します。
使用したファイルは「開発ツールの使い方」で紹介した10入力AND回路です。

このページの説明はCPLD開発ツールでフィッティングが正常に終了し、JEDECファイル(拡張子:JED)が作られている状態から始めます。




CPLDデバイスとの接続
    まず、開発ツールを動かしているパソコンとCPLDデバイスとを接続する必要があります。
    Xilinx社のダウンロードケーブル、お店で売っているケーブルまたは自分で作ったケーブルを接続します。ツールについては「CPLDライター」のページを参照して下さい。
    私はCPLDプログラマー(ライター)を自分で作りました。書き込み動作はいずれの場合でも同様です。説明は私の作ったツールで行っています。以下、私の作ったツールを「CPLDライター」と書きます。

    パソコンのパラレルポート(プリンタポート)とデバイスを接続します。そして、デバイス側(CPLDライター)の電源を入れます。CPLDライターの電源表示LED(緑)が点灯します。場合によってはデータ表示LED(赤)も点灯します。データ表示LEDはこの後の手順で消灯します。





プログラマの起動
    Xilinx Project Navigatorでフィッティングが終了した状態で のメニューをダブルクリックします。
    これによりJTAGプログラマーが起動され、以下のウインドウが表示されます。





ツールとの接続
    メニューから Output -> Cable Setup... を実行すると通信ケーブルのセットアップダイアログが表示されます。

    このダイアログで通信モード( Communication Mode )でパラレルポート( Parallel )を選択し、ポート( Port )を指定します。ポートは通常lpt1( Local Printer Port1 )になると思います。
    以上の設定の後、OKボタンを押し、正常に接続されると以下のメッセージが表示されます。

    JTAG Programmerウインドウの右下にステータスとして Parallel および lpt1 が表示されます。




書き込み操作
    次にメニューから Operations -> Program を実行すると、以下のダイアログが表示されます。

    Erase Before Programming(プログラムデータを書く前にデバイスのデータを消去する)にチェックマークが付いていることを確認してOKボタンを押します。
    処理状態を表示するウインドウが現れ、以下のような画面になります。
    書き込むデータの量により処理時間は異なりますが、今回のデータでは約20秒で書き込みが終了しました。


正常に書き込みが行われたことを示しています。
    JTAG Programmerのウインドウには書き込みが終了したこと( Programmed )が示されています。

    以上でCPLDデバイスへの書き込みが終了しました。
    JTAG Programmerを終了し、ケーブル接続を取り外して、書き込み作業は終了です。



デバイスの動作確認
    私が作成したCPLDライターではCPLDデバイスの全てのピンを端子に出しているのですぐにデバイスの動作確認が行えます。私は動作確認が簡単に行えるように簡易機能試験器を作りました。

    今回書き込んだデータは10入力AND回路です。入力はそれぞれ 9,11,36,35,37,34,13,38,8,12ピン、出力は3番ピンです。テスターなどを使用して動作の確認を行います。
    全ての入力ピンがHレベル(VCC)の場合だけ、出力ピンがHレベル(VCC)になることを確認します。

    動作確認の結果、正常に動作することが確認できました。