目次CPLD入門


8ビット ラッチレジスタ



このページでは8ビットのラッチレジスタを紹介します。
ラッチレジスタとはデータを保持するための記憶回路です。
具体的にはクロックパルスの立ち上がりでデータを保持します。
このタイミングのときの入力データがレジスタに取り込まれます。
次にクロックパルスの立ち上がりが発生するまで入力データが変化しても出力は変化しません。

今回のロジックでは8ビットのデータを保持するようにしましたが、ロジックを変更すれば任意のビットのレジスタを作ることができます。
ピンの位置は指定していません。

CPLD(XC9536-PC44)を対象にしています。

ソースコード/解説

フィッティング・レポート


動作状態表
入力出力
CLKQ7 - Q0
Q = DIN